频道栏目
首页 > 资讯 > 其他 > 正文

Verilog顶层模块调用底层模块实现方法

18-04-18        来源:[db:作者]  
收藏   我要投稿

Verilog顶层模块调用底层模块实现方法。

module uart_top(clk, nreset, rec, send, data);

input clk;

input nreset;

input rec;

output send;

parameter len = 16;

output [len:0]data;

uart_rec rec1( //底层模块1

.rec(rec),

.clk(clk),

.nreset(nreset),

.data(data)

);

uart_send send1(//底层模块2

.data(data),

.clk(clk),

.nreset(nreset),

.send(send)

);

endmodule

相关TAG标签
上一篇:mongodb 如何导入导出备份恢复
下一篇:ruby 版本过低?如何快速升级ruby版本
相关文章
图文推荐

关于我们 | 联系我们 | 广告服务 | 投资合作 | 版权申明 | 在线帮助 | 网站地图 | 作品发布 | Vip技术培训 | 举报中心

版权所有: 红黑联盟--致力于做实用的IT技术学习网站